Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

lint does not complain about double port usage #321

Closed
veripoolbot opened this issue Jan 29, 2011 · 2 comments
Closed

lint does not complain about double port usage #321

veripoolbot opened this issue Jan 29, 2011 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Christian Leber
Original Redmine Issue: 321 from https://www.veripool.org
Original Date: 2011-01-29
Original Assignee: Wilson Snyder (@wsnyder)


Hello,

we found a pretty simple problem in the linter, when people are not using other tools to stumble upon such problems it may stay undetected in the C++ simulation.

As you can see it does not complain about the instantiation of sub despite the i port is used 2 times, furthermore it also does not complain about the extra , at the end.

Btw.: verilator linting is a great help for quick repo sanity checks, thanks!
Christian Leber

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-01-29T23:04:09Z


How could that happen? Don't tell me you're still writing ports by hand instead of using "AUTOINST"? :)

BTW, it IS legal to have the trailing comma in some cases, it indicates
an empty port connection when doing by order connectivity. Thus verilator
simply dropped them.

Anyhow fixed in git for 3.811.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-02-15T01:12:58Z


In 3.811.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants