Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Only 1 VPI callback can be stored per variable object #679

Closed
veripoolbot opened this issue Sep 27, 2013 · 3 comments
Closed

Only 1 VPI callback can be stored per variable object #679

veripoolbot opened this issue Sep 27, 2013 · 3 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Rich Porter
Original Redmine Issue: 679 from https://www.veripool.org
Original Date: 2013-09-27
Original Assignee: Rich Porter


VPI callbacks are stored as a 'set' against variable objects, this allows only 1 callback per variable object. Adding another callback overwrites the existing.

I can't find any constraint in the LRM that suggests there should be only 1, nor does icarus seems to have such a limitation.

I've got a candidate patch which I'll tidy up if you think this is an issue. The patch is simple, unless there's something else I'm missing.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Rich Porter
Original Date: 2013-10-02T11:13:43Z


patchset at on branch vpi-callback-679 at https://github.com/rporter/verilator comprising

  • update to t_vpi_var putting two callbacks on same signal that fails patched verilated_vpi.h
  • update to include/verilated_vpi.h

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2013-10-03T11:26:02Z


Thanks for (yet another) good clean patch.

Fixed in git towards 3.854.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2013-11-27T01:14:54Z


In 3.854.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant