Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Bug in evaluating (defined) expression with undef bits #764

Closed
veripoolbot opened this issue May 10, 2014 · 2 comments
Closed

Bug in evaluating (defined) expression with undef bits #764

veripoolbot opened this issue May 10, 2014 · 2 comments
Assignees
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 764 from https://www.veripool.org
Original Date: 2014-05-10
Original Assignee: Wilson Snyder (@wsnyder)


This should set y=1, but verilator 6ce2a52 sets y=0 instead.

  output [3:0] y;
  localparam [3:0] p11 = 1'bx;
  assign y = ~&p11;
endmodule

Self-contained test case:

http://svn.clifford.at/handicraft/2014/verilatortest/test013.v

http://svn.clifford.at/handicraft/2014/verilatortest/test013.cc

http://svn.clifford.at/handicraft/2014/verilatortest/test013.sh

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-11T01:39:42Z


Fixed X/Z extension under WIDTH warnings.

Pushed to git towards 3.857.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-11T21:11:16Z


In 3.860.

@veripoolbot veripoolbot added area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants