Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Segfault in code generated by Verilator #765

Closed
veripoolbot opened this issue May 12, 2014 · 2 comments
Closed

Segfault in code generated by Verilator #765

veripoolbot opened this issue May 12, 2014 · 2 comments
Assignees
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 765 from https://www.veripool.org
Original Date: 2014-05-12
Original Assignee: Wilson Snyder (@wsnyder)


Verilator 5f5a3db creates C code that segfaults for a=128.

  input signed [7:0] a;
  output [15:0] y;
  assign y = {3{{~22'd0}}} <<< {4{a}};
endmodule

Self-contained test case:

http://svn.clifford.at/handicraft/2014/verilatortest/test014.v

http://svn.clifford.at/handicraft/2014/verilatortest/test014.cc

http://svn.clifford.at/handicraft/2014/verilatortest/test014.sh

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-05-13T12:12:20Z


The << was so far over it became a negative number and confused it.

Fixed in git towards 3.862.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-06-11T00:57:22Z


In 3.862.

@veripoolbot veripoolbot added area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants