Skip to content

Issues: verilator/verilator

FAQ: How to register for announcements
#4298 opened Jun 17, 2023 by wsnyder
Open
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Internal error on using constant variable within array assignment status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4998 opened Mar 18, 2024 by esynr3z
NBA order graph of t_timing_nba is incorrect area: scheduling Issue involves scheduling/ordering of events status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4993 opened Mar 16, 2024 by gezalore
Declaring a constant with simultaneous initialization may result in an error depending on the lifetime and context status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4992 opened Mar 16, 2024 by chykon
error: invalid types'WData {aka unsigned int}[unsigned int]"for array subscript status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4989 opened Mar 16, 2024 by futurehome
Is selectable diagnostic message for $finish supported? type: feature-IEEE Request to add new feature, described in IEEE 1800
#4981 opened Mar 14, 2024 by dominiksalvet
Fix evaluating final blocks on fatal status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4980 opened Mar 14, 2024 by dominiksalvet
Linter allows commas in instance parameters where they are not allowed in Verilog area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4979 opened Mar 13, 2024 by paul-demo
Tracking Signal Change Triggers in Verilator area: tracing Issue involves tracing status: discussion Issue is waiting for discussions to resolve
#4973 opened Mar 13, 2024 by ThePerfectComputer
Mac OS missing FlexLexer.h status: assigned Issue is assigned to someone to work on
#4970 opened Mar 12, 2024 by ct-clmsn
Unexpected Significant Performance Degradation on Movement of Code status: discussion Issue is waiting for discussions to resolve
#4967 opened Mar 12, 2024 by Karl-Han
Optimization for Conditional Statement status: asked reporter Bug is waiting for reporter to answer a question
#4964 opened Mar 11, 2024 by europe2024
Why do we use TSP sort for variable ordering? status: discussion Issue is waiting for discussions to resolve
#4960 opened Mar 10, 2024 by gezalore
Splitting heavy trace cpp files when --trace-max-array 131072 is used area: performance Issue involves performance issues area: tracing Issue involves tracing
#4948 opened Mar 7, 2024 by alexeikom
verilator-config.cmake errors out when CMAKE_CXX_COMPILER_ID not defined before finding the verilator package. area: configure/compiling Issue involves configuring or compilating Verilator itself status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4939 opened Mar 1, 2024 by phelter
Inout port of structure type causes compile or bad result status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4925 opened Feb 26, 2024 by RRozak
Compilation error on extern function that returns parametrized class area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4924 opened Feb 25, 2024 by esynr3z
Cell parameters lost when upper module used with default and non-default parameters area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4920 opened Feb 23, 2024 by JosseVanDelm
Verilator public_on/off requires at least one individually marked wire area: vpi/dpi/api Issue involves VPI, DPI, or verilated.h interface API status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4916 opened Feb 22, 2024 by AndrewNolte
Error with Wno-UNUSED area: lint Issue involves SystemVerilog lint checking status: asked reporter Bug is waiting for reporter to answer a question
#4911 opened Feb 21, 2024 by salmen20
Enhance Verilator to include compile and runtime statistics in its output at the End area: usability Issue involves general usability status: blocked Issue is waiting for another bug, when other bug is fixed, then goes to 'status: assigned' type: feature-non-IEEE Request to add new feature, outside IEEE 1800
#4909 opened Feb 20, 2024 by opensource-elearning
Cygwin Win11 gives segmentation fault when running "make test" area: portability Issue involves operating system/compiler portability status: asked reporter Bug is waiting for reporter to answer a question
#4908 opened Feb 20, 2024 by Xocket
Getting a module parameter using scope resolution operator area: lint Issue involves SystemVerilog lint checking status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4890 opened Feb 11, 2024 by ba-sc
Support EVCD $dumpports area: tracing Issue involves tracing effort: days Expect this issue to require roughly days of invested effort to resolve status: ready Issue is ready for someone to fix; then goes to 'status: assigned' type: feature-IEEE Request to add new feature, described in IEEE 1800
#4882 opened Feb 6, 2024 by franout
Fatal error on split variable when tracing is enabled status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
#4871 opened Feb 2, 2024 by adrienlemasle
Verilator performance issue area: performance Issue involves performance issues status: asked reporter Bug is waiting for reporter to answer a question
#4858 opened Jan 24, 2024 by davidharrishmc
ProTip! Adding no:label will show everything without a label.