Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Can't reference interface functions inside of generate blocks #1011

Open
veripoolbot opened this issue Dec 8, 2015 · 3 comments
Open

Can't reference interface functions inside of generate blocks #1011

veripoolbot opened this issue Dec 8, 2015 · 3 comments
Labels
area: elaboration Issue involves elaboration phase effort: days Expect this issue to require roughly days of invested effort to resolve type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Todd Strader (@toddstrader)
Original Redmine Issue: 1011 from https://www.veripool.org


As discussed in issue1001, there is still an issue with hierarchical function references. See here for a modified version of t_interface_gen5 which demonstrates the problem:

https://github.com/toddstrader/verilator-dev/tree/hier_funcref

%Error: t/t_interface_gen5.v:27: Can't find definition of 'my_intf' in dotted task/function: my_intf.func
%Error:      Known scopes under 'func': <no cells found>
%Error: t/t_interface_gen5.v:36: Can't find definition of 'my_intf' in dotted task/function: my_intf.func
%Error:      Known scopes under 'func': <no cells found>
<\pre>


@veripoolbot veripoolbot added area: elaboration Issue involves elaboration phase effort: days Expect this issue to require roughly days of invested effort to resolve type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
@jrhyde1
Copy link

jrhyde1 commented Dec 24, 2020

Has any progress been made on this issue?

@toddstrader
Copy link
Member

toddstrader commented Dec 24, 2020 via email

@jrhyde1
Copy link

jrhyde1 commented Dec 24, 2020

Indeed, the issue occurs for me in a function that operates on the interface's data. If the interface is instantiated inside a generate block, the error listed above occurs. The current workaround is to instantiate the interface outside the generate block, however this becomes very annoying because the interface is only used in one of the generate block's if-statements. It must be tied off to zero in all of the other if-statements.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: elaboration Issue involves elaboration phase effort: days Expect this issue to require roughly days of invested effort to resolve type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

3 participants