Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for named ends (Example: endclass: CLASSNAME) #1038

Closed
veripoolbot opened this issue Feb 19, 2016 · 1 comment
Closed

Support for named ends (Example: endclass: CLASSNAME) #1038

veripoolbot opened this issue Feb 19, 2016 · 1 comment

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Kaushal Modi
Original Redmine Issue: 1038 from https://www.veripool.org
Original Date: 2016-02-19


Hi,

I was leaning towards getting auto named ends functionality just like the auto endcomments functionality that we have right now.

Initially my thought was to add a defvar which would use ":" instead of "//" when auto inserting the named ends (instead of named comments).

That too would only happen for these endings (as per IEEE SystemVerilog Standard 1800-2012, Section 9.3.4, pg 178):

— endchecker (see 17.2)
— endclass (see 8.3)
— endclocking (see 14.3)
— endconfig (see 33.4)
— endfunction (see 13.4)
— endgroup (see 19.2)
— endinterface (see 25.3)
— endmodule (see 23.2.1)
— endpackage (see 26.2)
— endprimitive (see 29.3)
— endprogram (see 24.3)
— endproperty (see 16.2)
— endsequence (see 16.8)
— endtask (see 13.3)

But looking at "this line":

(unless (looking-at (concat "\\(" verilog-end-block-ordered-re "\\)[ \t]*:")) ; ignore named ends
in the verilog-mode.el, it looks like the named ends were considered but instead of auto-updating them, lines with named ends were totally ignored.

Before I try to get a solution to auto named ends (only for the above types of endings), I was like to know the reason for that specific @(unless ..)@ condition.

The reason I am looking into this is because I see a growing convention of using named ends instead of comments at the ends. Named ends can help catch a copy/paste mistake if the user had mismatch between the block name and end name.

Thanks.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Alex Reed
Original Date: 2016-02-19T19:29:42Z


Duplicate of http://www.veripool.org/issues/956-Verilog-mode-Using-for-end-lable-instread-of-SysteVerilog

Copying new information from this issue to original issue. Let's continue the discussion there.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant