Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

About testbench #1075

Closed
veripoolbot opened this issue Jul 14, 2016 · 1 comment
Closed

About testbench #1075

veripoolbot opened this issue Jul 14, 2016 · 1 comment
Labels
resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: nan wu
Original Redmine Issue: 1075 from https://www.veripool.org
Original Date: 2016-07-14


I have learnt Verilator for a week. There still is a foolish question in my mind--how to simulate(provide input)? We write testbench in verilog when using ModelSim, does it need use SystemC/C++ to create the testbench if we use Verilator? Then the testbench will be very complex in order to test all the situations, but the authority said it just need write a touch of C code and Makefiles after synthesizable verilog is migrated to C++ or SystemC.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2016-07-14T10:36:37Z


This just came up elsewhere, so see this:

http://www.veripool.org/boards/2/topics/1931?r=1934#message-1934

BTW, usually "issues" are written for something broken, and "forums" are for questions on using something that isn't thought to be broken.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant