Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Interface declaration typo gives a misleading internal error #1097

Closed
veripoolbot opened this issue Oct 21, 2016 · 2 comments
Closed

Interface declaration typo gives a misleading internal error #1097

veripoolbot opened this issue Oct 21, 2016 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Todd Strader (@toddstrader)
Original Redmine Issue: 1097 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Instead of pointing out the bad interface instance declaration or the port map which uses the bogus interface, the interface declaration itself is called out.

Added t_intf_typo to demonstrate:
https://github.com/toddstrader/verilator-intf-err-msg

We now get:
%Error: Internal Error: t/t_intf_typo.v:6: ../V3LinkDot.cpp:336: Module/etc never assigned a symbol entry?

But no mention that fo_intf isn't a thing or that the_foo isn't the right type for foo in the port map.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2016-10-22T12:07:37Z


Fixed in git towards 3.889.

It still warns on the usage rather than the more obvious typo which it really should due to how it works to support an interface that is inside a generate that will later be decided to be "if"ed out.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2016-11-25T16:02:34Z


In 3.890.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants