Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

passing an interface to a non-interface port causes internal error #1112

Closed
veripoolbot opened this issue Nov 22, 2016 · 3 comments
Closed
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Johan Bjork
Original Redmine Issue: 1112 from https://www.veripool.org

Original Assignee: Johan Bjork


Low priority. Happy to fix it but need some advice on what stage would be best suited to detect this:

interface foo_intf;
endinterface

module submod
(
    input logic a
);

endmodule

module t (/*AUTOARG*/);
    foo_intf the_foo;

    submod
      submod_inst
     (
	.a (the_foo) //Oups! This is not the interface port
	);
endmodule

Causes:
%Error: Internal Error: t/t_interface_type_bad.v:11: ../V3LinkDot.cpp:1253: Bad alias scopes

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Johan Bjork
Original Date: 2017-03-16T14:35:25Z


https://github.com/phb/verilator-dev/tree/improve_interface_errors branch makes interfaces more resilient to internal errors and gives better error messages when used incorrectly.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-17T22:35:58Z


Great patch as usual!

Pushed to git towards 3.902.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-04-02T12:51:38Z


In 3.902.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant