Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Unique Case + linting #1136

Closed
veripoolbot opened this issue Mar 14, 2017 · 2 comments
Closed

Unique Case + linting #1136

veripoolbot opened this issue Mar 14, 2017 · 2 comments
Labels
area: lint Issue involves SystemVerilog lint checking resolution: abandoned Closed; not enough information or otherwise never finished

Comments

@veripoolbot
Copy link
Contributor


Author Name: Mehul Modhiya
Original Redmine Issue: 1136 from https://www.veripool.org


Hello,Everyone

While linting I am getting following warning related to case statement incomplete,

%warning-CASEINCOMPLETE:XXXX.SV:Case values incompletely covered (example pattern 0x0)

Document says that to make it complete we must add default statement into the case,but here I am using System Verilog pragma Unique, so in my logic all the case occur uniquely means default case is not needed.Is this right behaviour of verilator or am I missing something????

Thank you,
Mehul Modhiya

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-14T13:42:11Z


If all cases are really covered you should not get this warning. Can you attach an example, ideally in the verilator test format (please see the manual), thanks.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-20T23:42:36Z


Closing due to age - if you have a test case feel free to post it and I'll reopen.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: abandoned Closed; not enough information or otherwise never finished labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: abandoned Closed; not enough information or otherwise never finished
Projects
None yet
Development

No branches or pull requests

1 participant