Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Related to BLKANDNBLK error while linting #1137

Closed
veripoolbot opened this issue Mar 14, 2017 · 1 comment
Closed

Related to BLKANDNBLK error while linting #1137

veripoolbot opened this issue Mar 14, 2017 · 1 comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Mehul Modhiya
Original Redmine Issue: 1137 from https://www.veripool.org


Hello ,Everyone

While linting,i came across following errors

%Error-BLKANDNBLK:xxx.sv: Unsupported: Blocked and non-blocking assignments to the same variable:structure_name.object_of_structure

As Documents suggest that we can't mix blocking and non-blocking assignments,but in System Verilog if i have one structure with 4 no. of elements and i am access various elements of structure by its object ,for few elements i am using blocking assignments and non-blocking assignments for remaining one which is permitted by System Verilog. But with verilator linting i am getting the error mentioned above.

Could you please help me in this????

Thank You,

Mehul Modhiya

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-14T13:40:42Z


Please use the forums for questions as opposed to bugs, thanks.

You can turn off this warning around that line, however your code might simulate differently. There's almost always an alternative way to code to avoid this problem, e.g. setting a flag in a always_comb, then testing it in two separate blocks.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant