Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

elaboration time sformatf does not work #1139

Closed
veripoolbot opened this issue Mar 14, 2017 · 4 comments
Closed

elaboration time sformatf does not work #1139

veripoolbot opened this issue Mar 14, 2017 · 4 comments
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Johan Bjork
Original Redmine Issue: 1139 from https://www.veripool.org

Original Assignee: Johan Bjork


The following github commit fixes two issues

  1. calling sformatf as an argument to a display function would not generate any output
  2. elaboration time loops that would contain $display statements would only print once. This is due to warnings being suppressed if they are identical, and V3Simulate would replace the format string with the expanded version the first time through.

phb/verilator-dev@7b312d0

In terms of the code, V3Number currently treats strings specially so the cache in V3Simulate.h won't work off the bat. I decided to leave V3Number as is for now. Happy to change it with some feedback on how you'd like it to work.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-16T00:13:07Z


I agree with the intent, but this patch doesn't apply to the current trunk. Can you please rebase it or otherwise make a patch off trunk? Thanks.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Johan Bjork
Original Date: 2017-03-16T13:33:11Z


Wilson Snyder wrote:

I agree with the intent, but this patch doesn't apply to the current trunk. Can you please rebase it or otherwise make a patch off trunk? Thanks.

Rebased on trunk here: https://github.com/phb/verilator-dev/tree/staticelab

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-17T22:40:20Z


Great again.

Fixed in git towards 3.902.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-04-02T12:52:11Z


In 3.902.

@veripoolbot veripoolbot added area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: wrong runtime result Issue involves an incorrect runtine result from Verilated model resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant