Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

realpath does not exist on Windows #1141

Closed
veripoolbot opened this issue Mar 15, 2017 · 2 comments
Closed

realpath does not exist on Windows #1141

veripoolbot opened this issue Mar 15, 2017 · 2 comments
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Miodrag Milanovic (@mmicko)
Original Redmine Issue: 1141 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


In order to compile on Windows there is a need for small code change

line 150 of src\V3Os.cpp should be


#if defined( _MSC_VER ) || defined( __MINGW32__ )
     if (::_fullpath(retpath,filename.c_str(),PATH_MAX)) {
#else
     if (realpath(filename.c_str(), retpath)) {
#endif		


@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-16T00:08:56Z


Thanks, love it when problems come with patches!

Fixed in git towards 3.902.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-04-02T12:52:19Z


In 3.902.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants