Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Creating an interface with parameters based of another interface causes internal error #1146

Closed
veripoolbot opened this issue Mar 24, 2017 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Johan Bjork
Original Redmine Issue: 1146 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


module t (input clk);
    simple_bus sb_intf();
    simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple();
    initial begin
       $write("*-* All Finished *-*\n");
       $finish;
    end
endmodule

interface simple_bus #(PARAMETER = 0);
    logic dummy;
endinterface

results in

%Error: Internal Error: t/t_interface_param3.v:8: ../V3Width.cpp:1187: Unlinked varref
%Error: Internal Error: See the manual and http://www.veripool.org/verilator for more assistance.


@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-03-28T23:58:01Z


Although one simulator seems to take this and I think do the wrong thing, I don't see how this is legal under IEEE as it's not a constant function.

Added a clear error message.

Fixed in git towards 3.902.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-04-02T12:52:33Z


In 3.902.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants