Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Symbol's function definition is void: verilog-auto #1166

Closed
veripoolbot opened this issue May 19, 2017 · 3 comments
Closed

Symbol's function definition is void: verilog-auto #1166

veripoolbot opened this issue May 19, 2017 · 3 comments
Assignees
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Nagarjuna Kola
Original Redmine Issue: 1166 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Hi,

I was able to run emacs on dummy_instance.sv earlier and was able to generate auto's properly. suddenly when i updated my source instance and try to re-generate auto's for the same it gives below message.

"Error: Symbol's function definition is void: verilog-auto"

emacs -batch dummy_instance.sv -f verilog-auto -f save-buffer

Code:dummy_instance.sv

module gem_hm(/AUTOARG/)

/AUTOWIRE/

/AUTOREG/

/AUTO_TEMPLATE/

/AUTOINOUTMODULE("gem")/

/AUTOINPUT/

/AUTOOUTPUT/

gem u_gem(/AUTOINST/);

/AUTOINOUTMODULE("hm_pcollapse_wrap")/

hm_pcollapse_wrap u_hm_pcollapse_wrap(/AUTOINST/);

/AUTOINOUTMODULE("sense_chain_blasted")/

sense_chain_blasted u_sense_chain_blasted(/AUTOINST/);

endmodule

//Localvariables:

//verilog-library-directories:("." "")

//END:

regards,
Arjun

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-05-19T13:32:40Z


Probably your site doesn't have verilog-mode installed for the whole site so --batch can't find verilog-mode.el. Use -l to load it first. You'll also want to use --verilog-batch-auto instead - see the FAQ and documentation for that.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Nagarjuna Kola
Original Date: 2017-05-22T08:51:00Z


Wilson Snyder wrote:

Probably your site doesn't have verilog-mode installed for the whole site so --batch can't find verilog-mode.el. Use -l to load it first. You'll also want to use --verilog-batch-auto instead - see the FAQ and documentation for that.

Does this emacs works on systemverilog files also?

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-05-24T15:40:59Z


Does this emacs works on systemverilog files also?

Certainly.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants