Navigation Menu

Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Port list is not aligned properly when the first port declaration is not start from a new line #1167

Closed
veripoolbot opened this issue May 26, 2017 · 1 comment · Fixed by #1771
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Enzo Chi
Original Redmine Issue: 1167 from https://www.veripool.org


Found a issue of align the port list: (verilog-auto-lineup 'all)

When the first port declaration right after "(" as example below, the port name is not aligned correctly.

Port "sel" and the rest are not aligned.

module indent_case(input bit [1:0] sel,
                    input byte  a,
                    input byte  b,
                    input byte  c,
                    input byte  d,
                    output byte dout
                    );

Expect to be

module indent_case(input bit [1:0] sel,
                    input byte      a,
                    input byte      b,
                    input byte      c,
                    input byte      d,
                    output byte     dout
                    );

If "sel" declaration start from a new line, it works

module indent_case(
                    input bit [1:0] sel,
                    input byte      a,
                    input byte      b,
                    input byte      c,
                    input byte      d,
                    output byte     dout
                    );

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-11-19T13:38:15Z


AFAIK still a problem, perhaps someone would like to contribute a patch?

gmlarumbe added a commit that referenced this issue Jun 13, 2022
* verilog-mode.el (verilog-get-lineup-indent, verilog-pretty-declarations):
Fix alignment of first port declaration (#1167) (#1771).

Signed-off-by: Gonzalo Larumbe <gonzalomlarumbe@gmail.com>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant