Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Implicit port connection .* does not work for interfaces #1176

Closed
veripoolbot opened this issue Jun 20, 2017 · 4 comments
Closed

Implicit port connection .* does not work for interfaces #1176

veripoolbot opened this issue Jun 20, 2017 · 4 comments
Assignees
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Maciej Piechotka
Original Redmine Issue: 1176 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


The following code does not compile with error @can't find definition of signal, again: if@. It does work with manual unrolling to @my_module mod(.if(if), .*);@.

module foo;
    my_if if;
    my_module mod(.*);
endmodule

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-20T01:34:21Z


"if" is a Verilog keyword. Perhaps you oversimplified the example, if so please attach a complete example (not using "if") that fails.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Maciej Piechotka
Original Date: 2017-06-20T03:34:09Z


Wilson Snyder wrote:

"if" is a Verilog keyword. Perhaps you oversimplified the example, if so please attach a complete example (not using "if") that fails.

module videotest_config_test(
	input  logic    aclk,
     input  logic    arst,
     input  cnt_t    cnt,
     output vsize_t  vsize,
     output hsize_t  hsize,
     input  logic    idle,
     output logic    intr
	);
     axi_lite conf(aclk, arst);
     ack_sign stop();

	videotest_config dut(/*.conf(conf), .stop(stop),*/ .*); // Doesn't work in Verilator without comment
endmodule

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-20T22:40:47Z


Fixed in git towards 3.905.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-06-22T22:38:10Z


In 3.906.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants