Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

readmem does not support x values #1180

Closed
veripoolbot opened this issue Jul 4, 2017 · 2 comments
Closed

readmem does not support x values #1180

veripoolbot opened this issue Jul 4, 2017 · 2 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Arthur Kahlich
Original Redmine Issue: 1180 from https://www.veripool.org

Original Assignee: Arthur Kahlich


Why I need this: I have a design that uses a Verilog ROM construct to specify a decoder from opcode to control signals, where for some of the opcode values a control signal output value may be a don't care, and in fact should be don't care for logic minimization at synthesis. It is more convenient to generate Verilog ROM initialization files than it is to generate what may be many, massive and verbose Verilog case statements to initialize these ROMs.

The IEEE Std. 1364.1-2002, section 5.5 states that 'x' values are supported when on expression RHS as don't care indicators.

I made a small addition to verilated.cpp to enable this capability for myself. The patch file is attached.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-07-05T22:51:58Z


Thanks for the patch.

Fixed in git towards 3.907.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-08-29T02:53:47Z


In 3.908.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant