Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Struct initialisation with data type and member name is not supported in 3.916 #1249

Closed
veripoolbot opened this issue Dec 7, 2017 · 2 comments
Labels
resolution: abandoned Closed; not enough information or otherwise never finished

Comments

@veripoolbot
Copy link
Contributor


Author Name: Enzo Chi
Original Redmine Issue: 1249 from https://www.veripool.org


I think verilator doesn't support the struct initialisation as below in release 3.916. Do you have plan to support it?

From SV spec 1800-2012 (page 82)

Structure literals can also use member name and value or use data type and default value (see 10.9.2):

    c = '{a:0, b:0.0};
    c = '{default:0};
    d = ab'{int:1, shortreal:1.0};

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-12-08T01:05:45Z


This is (mostly?) supported, please modify the test_regress/t/t_struct_init.v to show the issue, and pass on another simulator, thanks.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-08-25T14:41:20Z


Closing as no test case, feel free to post one and reopen.

@veripoolbot veripoolbot added the resolution: abandoned Closed; not enough information or otherwise never finished label Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: abandoned Closed; not enough information or otherwise never finished
Projects
None yet
Development

No branches or pull requests

1 participant