Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Compilation error for SystemC 2.3.2 #1251

Closed
veripoolbot opened this issue Dec 17, 2017 · 2 comments
Closed

Compilation error for SystemC 2.3.2 #1251

veripoolbot opened this issue Dec 17, 2017 · 2 comments
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Tymoteusz Blazejczyk
Original Redmine Issue: 1251 from https://www.veripool.org

Original Assignee: Tymoteusz Blazejczyk


Hi,

Accellera has updated SystemC to version 2.3.2.
In sysc/tracing/sc_trace.h there are two new pure virtual methods not present in 2.3.1:

DECL_TRACE_FUNC_A( sc_event )
DECL_TRACE_FUNC_A( sc_time )
</code>

In current Verilator release 3.916 and master branch it will cause compilation error when trying to dynamically allocating VerilatedVcdSc class.

Clang compilation error message:

allocating an object of abstract class type

I have included patch that will resolve that issue.

Thanks,
Tymoteusz Blazejczyk

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-12-17T13:23:50Z


Excellent, thanks for providing a patch for fixing this, it is appreciated, and perfectly done.

Fixed in git towards 3.917.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-01-02T23:15:17Z


In 3.918.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant