Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

verilator generates infinite loop #1254

Closed
veripoolbot opened this issue Dec 22, 2017 · 2 comments
Closed

verilator generates infinite loop #1254

veripoolbot opened this issue Dec 22, 2017 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Alex Solomatnikov
Original Redmine Issue: 1254 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Verilog:

     forever begin
#ifndef VERILATOR
       @(posedge clock) trace_count += 64'd1;
#endif
     end

C:

while (1) {
}

It would be better to error out.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2017-12-27T02:35:23Z


Reasonable enough, added to git towards 3.917.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-01-02T23:15:09Z


In 3.918.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants