Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Trig functions #1281

Closed
veripoolbot opened this issue Feb 21, 2018 · 5 comments
Closed

Trig functions #1281

veripoolbot opened this issue Feb 21, 2018 · 5 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Patrick Stewart
Original Redmine Issue: 1281 from https://www.veripool.org

Original Assignee: Patrick Stewart


I've added the missing system trig functions ($sin() etc) here: http://github.com/patstew/verilator/commit/ae1139d73ebdea52d76d60066ed74f8752507fe5

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Patrick Stewart
Original Date: 2018-02-21T04:22:44Z


Sorry, that should be: "patstew@f3fa47a6791815619b7bf749be2be92d9cc985ac":https://github.com/patstew/verilator/commit/f3fa47a6791815619b7bf749be2be92d9cc985ac

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-02-21T16:06:01Z


Nicely done. Do any if these return exceptions with e.g. 0 inputs? If so can you please have the test check that, and they will need guarding at execution with a conditional ?:.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Patrick Stewart
Original Date: 2018-02-21T16:51:19Z


Do you mean the C++ math functions? None of the @@ functions raise exceptions, they just return @nan@ or @+-inf@ if you put in an out of range argument. The systemverilog standard (20.8.2) says

bq. Their behavior shall match the equivalent C language standard math library function indicated

so I assume that's ok.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-02-26T09:26:16Z


Pushed patch to git (with minor space changes) towards 3.922.

Thanks again for your effort.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-03-17T16:03:26Z


In 3.922.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant