Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

scr1 test suite: string.hextoa is not implemented #1289

Closed
veripoolbot opened this issue Mar 8, 2018 · 4 comments
Closed

scr1 test suite: string.hextoa is not implemented #1289

veripoolbot opened this issue Mar 8, 2018 · 4 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Joel Holdsworth
Original Redmine Issue: 1289 from https://www.veripool.org


The follow code SystemVerilog code fails to build...

module test();

string mystring;
logic [31:0] myinteger = 'h012345678;

initial begin
  mystring.hextoa(myinteger);
end

endmodule

...with the following errors...

%Error: test_hextoa.sv:8: Unsupported: built-in string method 'VARREF 'mystring''
%Error: Exiting due to 1 error(s)
%Error: See the manual and http://www.veripool.org/verilator for more assistance.
%Error: Command Failed /usr/local/bin/verilator_bin -sv --cc test_hextoa.sv

@string.hextoa()@ is currently not implemented.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-03-09T04:57:31Z


Perhaps you would like to attempt a patch? First make a test case (see internals.txt) which passes on another simulator you can start with one of the existing tests. Then fix V3Width to decode the method, I suspect it can just become a hardcoded-formatted AstSFormatF - basically a $sformat.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Joel Holdsworth
Original Date: 2018-03-09T17:02:21Z


Sure, I don't mind having a look.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-08-25T17:50:23Z


Fixed in git towards 4.000. Added itoa, octtoa, hextoa, bintoa, realtoa.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-09-16T21:27:52Z


In 4.002.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant