Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Vtop__ALLcls.cpp and other combined files negates parallel compilation capabilities #1295

Closed
veripoolbot opened this issue Mar 29, 2018 · 1 comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: Rob Stoddard
Original Redmine Issue: 1295 from https://www.veripool.org


I have been working on trying to get builds of simulations using Verilator to be higher performance, both in the build and in the simulation. I noticed that my simulator build would hang for a long time on one compilation process. The one compilation process was taking in excess of 1GB of ram. When I looked at the file being compiled Vtop__ALLcls.cpp, I discovered a whole list of #include statements that were including .cpp files... in fact, most of the .cpp files in the project!

It would be much better for these .cpp files to be compiled separately if at all possible. For example, in my build environment, I have 4 server machines each with 8 cores. I could use distcc to be able to farm out 32 jobs at a time, but instead, the build sits on one core.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-03-29T20:52:09Z


This is already optional. Just set VM_PARALLEL_BUILDS=1 when you call make.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: nofixneeded resolution: no fix needed Closed; no fix required (not a bug) and removed resolution: nofixneeded labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant