Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

include file verilated.h not being able to recognize from path. #1300

Closed
veripoolbot opened this issue Apr 15, 2018 · 3 comments
Closed

include file verilated.h not being able to recognize from path. #1300

veripoolbot opened this issue Apr 15, 2018 · 3 comments
Labels
resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: Kunal Gulati
Original Redmine Issue: 1300 from https://www.veripool.org


I'm compiling a SystemVerilog module, after I run "verilator --cc bsg_hypotenuse.v --exe" and then "make -j -f Vbsg_hypotenuse.mk Vbsg_hypotenuse" I get the error:
g++ verilated.o Vbsg_hypotenuse__ALL.a Vbsg_hypotenuse__Syms.h /usr/local/share/verilator/include/verilated.h /usr/local/share/verilator/include/verilated_config.h /usr/local/share/verilator/include/verilatedos.h Vbsg_hypotenuse.h -o Vbsg_hypotenuse -lm -lstdc++
Vbsg_hypotenuse__Syms.h:9:23: fatal error: verilated.h: No such file or directory
compilation terminated.
Vbsg_hypotenuse.h:11:23: fatal error: verilated.h: No such file or directory
compilation terminated.
Vbsg_hypotenuse.mk:59: recipe for target 'Vbsg_hypotenuse' failed
make: *** [Vbsg_hypotenuse] Error 1

I'm on Ubuntu 17.10 and have ran "Verilator --V" with the following result:
Verilator 3.922 2018-03-17 rev verilator_3_920-32-gdf3d1a4

Copyright 2003-2018 by Wilson Snyder. Verilator is free software; you can
redistribute it and/or modify the Verilator internals under the terms of
either the GNU Lesser General Public License Version 3 or the Perl Artistic
License Version 2.0.

See http://www.veripool.org/verilator for documentation

Summary of configuration:
Compiled in defaults if not in environment:
SYSTEMC =
SYSTEMC_ARCH =
SYSTEMC_INCLUDE =
SYSTEMC_LIBDIR =
VERILATOR_ROOT = /usr/local/share/verilator

Environment:
PERL =
SYSTEMC =
SYSTEMC_ARCH =
SYSTEMC_INCLUDE =
SYSTEMC_LIBDIR =
VERILATOR_ROOT =
VERILATOR_BIN =

Please help me out in this regards.

Sincerely,
Kunal Gulati

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Stefan Wallentowitz (@wallento)
Original Date: 2018-04-17T11:26:50Z


Dear Kunal,

I am confused about your description. Can you please clarify how you build it and what you do when you run it, in particular with respect to the environment?

Cheers,
Stefan

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Kunal Gulati
Original Date: 2018-04-24T22:50:30Z


Hi Stefan,
I installed from apt-install on Ubuntu 17.10. It's not able to compile any verilog file and spews out an error that "verilated.h" not found as mentioned above.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-04-30T08:03:27Z


While I see verilated.h in the ubuntu distribution the examples in that version appear broken, please instead install from sources or git as described in https://www.veripool.org/projects/verilator/wiki/Installing

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant