Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

$clog2 should be allowed in Verilog 2005 #1319

Closed
veripoolbot opened this issue Jun 8, 2018 · 2 comments
Closed

$clog2 should be allowed in Verilog 2005 #1319

veripoolbot opened this issue Jun 8, 2018 · 2 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: James Hutchinson
Original Redmine Issue: 1319 from https://www.veripool.org

Original Assignee: James Hutchinson


Currently it's only allowed in SystemVerilog 2005 and beyond

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-06-08T12:01:37Z


Agreed, thanks for the good patch.

Fixed in git towards 3.923.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-06-13T01:28:51Z


In 3.924.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant