Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

documentation SystemC example #1331

Closed
veripoolbot opened this issue Aug 22, 2018 · 3 comments
Closed

documentation SystemC example #1331

veripoolbot opened this issue Aug 22, 2018 · 3 comments
Labels
area: documentation Issue involves documentation resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Iztok Jeras (@jeras)
Original Redmine Issue: 1331 from https://www.veripool.org

Original Assignee: Iztok Jeras (@jeras)


I would like to start learning SystemC...
The license for systemc is now open source compatible, so it can be provided in official distribution repositories. I will try to use a Debian/Ubuntu package from here:
https://launchpad.net/~aelmahmoudy/+archive/ubuntu/ppa/+packages
I am not sure systemc is compiled properly (somebody else confirmed tests are working)
and I am not sure verilator is compiled with systemc support.
I am trying to test this too.

The SystemC example in the documentation is not functional.

A cd into obj_dir is missing, and the sc_main.cpp file is apparently never compiled.

I would appreciate a pointer to more complex verilator+systemc examples for later in the learning process.

Regards,
Iztok Jeras

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-08-22T21:29:26Z


I assume you're referring to the verilator --help example. I believe the example works ok as it worked just now for me. There is a cd. Sc_main is built automatically as the .o is requested in the make.

Also in the git repo or tarball see the examples directory for two other sc tests.

Anyhow, as always if you have documentation patches you'd like to suggest they're always welcome.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Iztok Jeras (@jeras)
Original Date: 2018-08-23T07:26:15Z


I meant this online document:
https://www.veripool.org/projects/verilator/wiki/Manual-verilator#EXAMPLE-SYSTEMC-EXECUTION

But yes, I should spent a bit more time checking other examples first.

Thanks

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-08-23T08:40:56Z


Ah. The online manual was intended to be an exact duplicate of verilator --help, but due to a bug it wasn't getting updated. This is fixed.

@veripoolbot veripoolbot added area: documentation Issue involves documentation resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: documentation Issue involves documentation resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant