Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for immediate restict #1350

Closed
veripoolbot opened this issue Sep 23, 2018 · 3 comments
Closed

Support for immediate restict #1350

veripoolbot opened this issue Sep 23, 2018 · 3 comments
Assignees
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 1350 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Verilator has support for immediate assert and assume. Immediate restrict statements should simply be parsed identically but then ignored. So it wouldn't be too hard to add support for it, and it might help getting rid of ifdef blocks in some cases.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-09-23T19:20:49Z


Straightforward enough.

Pushed to git towards 4.004.

Also note this version will add $past.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Clifford Wolf (@cliffordwolf)
Original Date: 2018-09-24T08:23:17Z


Wilson Snyder wrote:

Also note this version will add $past.

Awesome! Thanks.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-10-06T14:14:08Z


In 4.004.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

2 participants