Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for loading stimulus from VCD file #1351

Closed
veripoolbot opened this issue Sep 23, 2018 · 1 comment
Closed

Support for loading stimulus from VCD file #1351

veripoolbot opened this issue Sep 23, 2018 · 1 comment
Labels
area: tests Issue involves the testing system resolution: wontfix Closed; work won't continue on an issue or pull request

Comments

@veripoolbot
Copy link
Contributor


Author Name: Clifford Wolf (@cliffordwolf)
Original Redmine Issue: 1351 from https://www.veripool.org


A feature that allows loading a stimulus from a VCD file would be great. One application I am interested in, and could use this feature for, is replaying formal counter example traces in simulation. (I realize this is probably a bigger task.)

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-05-10T00:28:25Z


VCD stimulus applying isn't really directly related to Verilator, and unlikely would get to do this in the forseeable future, so closing out.

If someone would like to make a general tool (contributed to verilator or otherwise) that imports VCD and can apply to many simulators would love to see it.

@veripoolbot veripoolbot added area: tests Issue involves the testing system resolution: wontfix Closed; work won't continue on an issue or pull request labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: tests Issue involves the testing system resolution: wontfix Closed; work won't continue on an issue or pull request
Projects
None yet
Development

No branches or pull requests

1 participant