Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Question: Indent after module open parenthesis with AUTOINST #1358

Closed
veripoolbot opened this issue Nov 23, 2018 · 1 comment
Closed

Question: Indent after module open parenthesis with AUTOINST #1358

veripoolbot opened this issue Nov 23, 2018 · 1 comment
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Gary Hammes
Original Redmine Message: 2741 from https://www.veripool.org


I haven't been able to configure the indent of the io after a module declaration's open parenthesis. I'm putting the
open parenthesis on it's own line to make the io list indent consistent. Verilog mode wants to indent the io list an
additional space. Everything else is configured to follow the style of indents being 4 characters in my case. I
want the io list to aligned to the parenthesis, or 0 indent. Is there a configuation for this setting that I am overlooking?

Thanks
-Gary

///////////////////
// Actual indent output
///////////////////
module example_indent
     (
      input wire         clk,
      input wire         reset_n,


///////////////////
// Desired indent output
///////////////////
module example_indent
     (
     input wire         clk,
     input wire         reset_n,


@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-11-26T02:18:19Z


Sorry, I don't believe there's a setting for this.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant