Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

How do I read cover-user information #1362

Closed
veripoolbot opened this issue Oct 17, 2018 · 3 comments
Closed

How do I read cover-user information #1362

veripoolbot opened this issue Oct 17, 2018 · 3 comments
Labels
area: usability Issue involves general usability resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: Flemming Andersen
Original Redmine Issue: 1362 from https://www.veripool.org

Original Assignee: Flemming Andersen


As part of measuring coverage and validation quality, I have now successfully added SVA cover points and an SVA bind file to the compilation of the Verilog design that I am currently working with.
I believe that I have also enabled the coverage by adding --assert and --coverage-user to the flags when I call verilator.

However, when I run the coverage enhanced design with test cases that should activate the cover points, I still do not see any generated coverage output.

Can somebody please tell me what I am missing:

  1. Do I need to call a special coverage-user function in my sim_main.cpp module?
  2. Where do I read the generated coverage data?
  3. Something else?

Thanks,
Flemming

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-10-18T03:09:27Z


Perhaps you are missing the save of the coverage data? See the examples/tracing_c files it does coverage.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Flemming Andersen
Original Date: 2018-10-18T23:37:46Z


Thank you so much for pointing me to this example - that solved the generation issue!
I can now generate coverage both as inline SVA properties and properties bound through a bind file.

Running verilator_coverage I can also get the total statistic number, but no details.

Is there also a method/tool to create graphical visualization of the coverage points in the coverage.dat file?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-10-19T00:03:43Z


Perhaps you forgot to give the --annotate option? At present output is always ASCII. Patches for improving to add e.g. HTML output would be welcome.

Closing as think no problem here, but ask more if needed.

@veripoolbot veripoolbot added area: usability Issue involves general usability resolution: nofixneeded resolution: no fix needed Closed; no fix required (not a bug) and removed resolution: nofixneeded labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: usability Issue involves general usability resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant