Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[newbie] Is there a way to keep SystemC port types "sc_uint<xx>" ? #1367

Closed
veripoolbot opened this issue Nov 27, 2018 · 1 comment
Closed
Labels
resolution: no fix needed Closed; no fix required (not a bug)

Comments

@veripoolbot
Copy link
Contributor


Author Name: Ayewin Oung
Original Redmine Issue: 1367 from https://www.veripool.org


Understood that, it will suffer in sim speed. It would be good to keep the interface so simulations can be "true". Useful for debug/bring stages.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2018-11-27T10:05:49Z


--pins-bv 1 might do what you want. See also --pins-sc-biguint.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: no fix needed Closed; no fix required (not a bug)
Projects
None yet
Development

No branches or pull requests

1 participant