Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Bug] cannot understand multi-dimensional array interfaces #1505

Closed
veripoolbot opened this issue Sep 12, 2019 · 3 comments
Closed

[Bug] cannot understand multi-dimensional array interfaces #1505

veripoolbot opened this issue Sep 12, 2019 · 3 comments
Assignees
Labels
area: parser Issue involves SystemVerilog parsing resolution: wontfix Closed; work won't continue on an issue or pull request

Comments

@veripoolbot
Copy link
Contributor


Author Name: Ânderson Ignacio Da Silva
Original Redmine Issue: 1505 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Verilator 4.018 cannot parse understand multi-dimensional array using interfaces structures from system verilog. The instantiation below cannot be parsed and raises syntax error of unexpected '['.

https://github.com/pulp-platform/apu_cluster/blob/master/sourcecode/apu_cluster.sv#L88

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-12T14:45:39Z


Agreed this is a mistake in translation of the IEEE grammar. Also applies to Verilog-Perl which will get fixed first.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-12T22:24:20Z


Verilog-Perl fixed in version 3.468.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-12T23:14:07Z


Thanks for the report.

I created a test case and this is not supported in the two commercial simulators I could check. Given that this was turning painful for Verilator I think development time is better spent elsewhere, so not supporting for now. Will reconsider if/when all of the major commercial simulators get there.

However, the error message should have been better as this was unintentionally a syntax error, so added an unsupported message towards version 4.020.

You might want to suggest that the pulp project use only a 1D array to make their code more portable.

@veripoolbot veripoolbot added area: parser Issue involves SystemVerilog parsing resolution: wontfix Closed; work won't continue on an issue or pull request labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: parser Issue involves SystemVerilog parsing resolution: wontfix Closed; work won't continue on an issue or pull request
Projects
None yet
Development

No branches or pull requests

2 participants