Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Wrong indentation after SV streaming statement #1516

Closed
veripoolbot opened this issue Sep 24, 2019 · 1 comment · Fixed by #1692
Closed

Wrong indentation after SV streaming statement #1516

veripoolbot opened this issue Sep 24, 2019 · 1 comment · Fixed by #1692
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Enze Chi
Original Redmine Issue: 1516 from https://www.veripool.org


I just found wrong indentation after SV streaming state

     module test (input logic clk,
                  input logic a,
                  output logic c,
                  output byte  d[4]);
     
         always_ff @(posedge clk) begin
             if (a == 1'b1) begin
                 data <= {<<byte{$urandom()}};
             c <= data[1] > 8'h0f;
         end
         end
     endmodule // test

The expected indentation should be:

module test (input logic clk,
              input logic a,
              output logic c,
              output byte  d[4]);

     always_ff @(posedge clk) begin
         if (a == 1'b1) begin
             data <= {<<byte{$urandom()}};
             c <= data[1] > 8'h0f;
         end
     end
endmodule // test


@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-21T15:15:26Z


Perhaps someone would like to contribute a patch?

vinamarora8 added a commit to vinamarora8/verilog-mode that referenced this issue Aug 22, 2020
The function is called `verilog-at-streaming-op-p`.
Also adds a check for streaming-op in `verilog-at-constraint-p`.
(Streaming operators mistaken as constraints otherwise)

This should fix veripool#1516
vinamarora8 added a commit to vinamarora8/verilog-mode that referenced this issue Aug 22, 2020
The function is called `verilog-at-streaming-op-p`.
Also adds a check for streaming-op in `verilog-at-constraint-p`.
(Streaming operators mistaken as constraints otherwise)

This should fix veripool#1516
vinamarora8 added a commit to vinamarora8/verilog-mode that referenced this issue Aug 22, 2020
The function is called `verilog-at-streaming-op-p`.
Also adds a check for streaming-op in `verilog-at-constraint-p`.
(Streaming operators mistaken as constraints otherwise)

This should fix veripool#1516
vinamarora8 added a commit to vinamarora8/verilog-mode that referenced this issue Aug 22, 2020
The function is called `verilog-at-streaming-op-p`.
Also adds a check for streaming-op in `verilog-at-constraint-p`.
(Streaming operators mistaken as constraints otherwise)

This should fix veripool#1516
vinamarora8 added a commit to vinamarora8/verilog-mode that referenced this issue Aug 22, 2020
The particular test is taken from the issue
veripool#1516. The issue has now
been solved.
veripoolbot pushed a commit that referenced this issue Aug 22, 2020
* verilog-mode.el (verilog-at-constraint-p, verilog-at-streaming-op-p, verilog-streaming-op-re):
Add streaming operator support (#1692) (#1516),
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant