Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fuzzer: Sefault on pattern testcase #1529

Closed
veripoolbot opened this issue Sep 30, 2019 · 3 comments
Closed

Fuzzer: Sefault on pattern testcase #1529

veripoolbot opened this issue Sep 30, 2019 · 3 comments
Assignees
Labels
area: parser Issue involves SystemVerilog parsing resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Eric Rippey
Original Redmine Issue: 1529 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Running "verilator_bin --cc 1.v" with the attached testcase produces:

%Error: 1.v:1: Unsupported: Empty '{}
module a;initial'{'{}
                   ^~
Segmentation fault

This is on Ubuntu 18.04 x86_64.

I have tried this both the version:
Verilator 3.916 2017-11-25 rev verilator_3_914-65-g0478dbd

And with a freshly compiled version:
Verilator 4.019 devel rev v4.018-33-gcb115e1a

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-30T21:21:31Z


I take it this and the other issues are from a fuzzer? Which one? Would you be willing to contribute the configuration/scripts@@ needed for the fuzzer? If so please file a bug with appropriate pointers to add that, thanks.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Eric Rippey
Original Date: 2019-09-30T22:47:59Z


I have filed a bug with instructions on how to run the fuzzer here:

https://www.veripool.org/issues/1534-Verilator-Fuzzer-script

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-09-30T23:22:42Z


Fixed in git. As this is unlikely to be hit by users, closing immediately rather than waiting for a release.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: parser Issue involves SystemVerilog parsing resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants