Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

More informative did not converge message #1556

Closed
veripoolbot opened this issue Oct 15, 2019 · 2 comments
Closed

More informative did not converge message #1556

veripoolbot opened this issue Oct 15, 2019 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Todd Strader (@toddstrader)
Original Redmine Issue: 1556 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


See #�. I think it would be better to have some kind of breadcrumb when Verilator says "Verilated Model did not converge". Ideally it would describe the loop to you, but since this is value dependant, that could turn into some gnarly error messages. Instead, it should probably:

  1. Mention a source line number and not a line number/file name of emitted C++
  2. Tell the user via STDERR how to proceed (i.e. OPT=-DVL_DEBUG and then whatever is next)

I'm only now realizing after digging into this some that the line number in the emitted C++ is pointing me to #2. But I'm guessing most people starting out with the tool wouldn't dig this far or know what they were looking at.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-10-16T00:45:58Z


Looked at this briefly. Currently where V3Emit prints FILE for the "can't converge" error message, there's no good alternative fileline() to print instead. Think we probably should figure out how to use the fileline of the first AstChangeDet. Not sure if this is better done in V3EmitC or when make _change_detect in V3Change.cpp

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-11-07T00:48:07Z


Not perfect (still not great line number), but a lot more trail to follow now.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants