Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

$monitor statement isn't supported #1569

Closed
veripoolbot opened this issue Oct 20, 2019 · 1 comment
Closed

$monitor statement isn't supported #1569

veripoolbot opened this issue Oct 20, 2019 · 1 comment
Labels
resolution: wontfix Closed; work won't continue on an issue or pull request

Comments

@veripoolbot
Copy link
Contributor


Author Name: Yuri Z
Original Redmine Issue: 1569 from https://www.veripool.org


Here the $monitor statement is described: http://www.referencedesigner.com/tutorials/verilog/verilog_09.php
Here is the example of use: https://github.com/cpldcpu/MCPU/blob/master/verilog/MCPU_0.1a.v#L27

%Error: tb.v:71: Unsupported or unknown PLI call: $monitor
$monitor($time, "mem[6]=",Mem[6]);
^~~~~~~~

Version 4.020

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-10-20T12:00:39Z


Thanks for your report.

At this time Verilator doesn't have a time wheel, so doesn't really have a way to properly implement $monitor. Furthermore it's almost never used in practice.

Please use $display instead.

@veripoolbot veripoolbot added the resolution: wontfix Closed; work won't continue on an issue or pull request label Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: wontfix Closed; work won't continue on an issue or pull request
Projects
None yet
Development

No branches or pull requests

1 participant