Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Strange dotted expression makes Verilator hang, but print correct error message #1608

Closed
veripoolbot opened this issue Nov 19, 2019 · 2 comments
Assignees
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Bogdan Vukobratovic (@bogdanvuk)
Original Redmine Issue: 1608 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


The following module makes Verilator hang

module m1
(
);
     typedef logic [3:0] foo_t;

     foo_t foo_s;

     assign bar_s = {foo_s, foo_s}.f1;

endmodule


@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-11-20T00:24:16Z


Thanks for the report.

Fixed in git towards eventual 4.024 release.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-08T13:12:44Z


In 4.024.

For additional support related to this please file new bug.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants