Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Assoc array adds C++11 in the codebase #1617

Closed
veripoolbot opened this issue Dec 2, 2019 · 2 comments
Closed

Assoc array adds C++11 in the codebase #1617

veripoolbot opened this issue Dec 2, 2019 · 2 comments
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Todd Strader (@toddstrader)
Original Redmine Issue: 1617 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


The associative arrays commit has broken Travis:
b812952

It is failing because of the C++11 code which was introduced (just as far as I can tell):
https://travis-ci.com/verilator/verilator/jobs/261926092

Are we officially moving to C++11 at this point, or does this commit need to be implemented for the earlier standard?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-02T11:19:39Z


Sorry, that include slipped through I had added a new class instead of using array and forgot to remove the include. A later commit removed it, but then it broke for another reason.

Still not planning for C++11 as a requirement until at least mid-2020.

Committed attempted fix, leaving open till passes.

BTW, something, maybe your email-yourself?, seems to have broken the author of the commit getting email on the breakage, so I hadn't noticed. Perhaps ideal behavior would be both of us get all fails, plus the author?

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-02T12:59:33Z


Passed in travis, thanks for the report.

Filed #� for travis "BTW" above.

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants