Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Unsupported warning on usage of localparam in a function #1625

Closed
veripoolbot opened this issue Dec 6, 2019 · 3 comments
Closed

Unsupported warning on usage of localparam in a function #1625

veripoolbot opened this issue Dec 6, 2019 · 3 comments
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Driss Hafdi
Original Redmine Issue: 1625 from https://www.veripool.org


Verilator bug that arises when trying to use a localparam in a function. This diff (https://github.com/drissos/verilator/commit/ec02fa2c625e7ff0ff6a8dde38a52b9102b4a382) includes a testcase that reproduces the issue we found. The error message was the following:
         %Error: Verilator internal fault, sorry.  Consider trying --debug --gdbbt

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-06T22:42:02Z


Thanks for the test.

This syntax is not supported by at least one of the big three, so Verilator is also unlikely to support it short/medium term.

So for the short term, the action is to make an unsupported message.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-06T23:06:18Z


Easiest one first -- have this new error ready to go. Just agree to signoff on https://github.com/verilator/verilator/blob/master/docs/CONTRIBUTING.adoc

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-06T23:53:59Z


Thanks for your contributors update.

Fixed in git towards eventual 4.024 release.

@veripoolbot veripoolbot added area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: lint Issue involves SystemVerilog lint checking resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

1 participant