Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Indenting after string parameter results in error with verilog-indent-lists nil #1645

Closed
veripoolbot opened this issue Dec 17, 2019 · 4 comments
Assignees
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Aaron Griffith (@agrif)
Original Redmine Issue: 1645 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


Trying to indent a line in a parameter list following a string parameter results in an error in the minibuffer, and no indentation:

module test();
     submodule #(
         .param1("HI"),
// attempting to indent here yields
// Scan error: "Unbalanced parenthesis", 50, 1
     ) modname ();
endmodule

This error goes away if the previous parameter in the list is not a string, even if there are string parameters earlier in the list.

I am running verilog-mode-2019.11.21.248091482, from elpa.gnu.org.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-17T22:57:55Z


Sorry, I don't get that error. Please indicate your emacs-version, and the variables shown by M-x verilog-submit-bug-report

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Aaron Griffith (@agrif)
Original Date: 2019-12-18T17:50:33Z


emacs-version is

GNU Emacs 26.3 (build 1, x86_64-apple-darwin18.2.0, NS appkit-1671.20 Version 10.14.3 (Build 18D109)) of 2019-09-02

verilog-submit-bug-report gives me

Emacs  : GNU Emacs 26.3 (build 1, x86_64-apple-darwin18.2.0, NS appkit-1671.20 Version 10.14.3 (Build 18D109))
 of 2019-09-02
Package: verilog-mode v2019-12-17-ffa2ba5-vpo-GNU

current state:
==============
(setq
 verilog-active-low-regexp nil
 verilog-after-save-font-hook nil
 verilog-align-ifelse nil
 verilog-assignment-delay ""
 verilog-auto-arg-sort nil
 verilog-auto-declare-nettype nil
 verilog-auto-delete-trailing-whitespace nil
 verilog-auto-endcomments nil
 verilog-auto-hook nil
 verilog-auto-ignore-concat nil
 verilog-auto-indent-on-newline t
 verilog-auto-inout-ignore-regexp nil
 verilog-auto-input-ignore-regexp nil
 verilog-auto-inst-column 40
 verilog-auto-inst-dot-name nil
 verilog-auto-inst-interfaced-ports nil
 verilog-auto-inst-param-value nil
 verilog-auto-inst-sort nil
 verilog-auto-inst-template-numbers nil
 verilog-auto-inst-vector t
 verilog-auto-lineup 'ignore
 verilog-auto-newline nil
 verilog-auto-output-ignore-regexp nil
 verilog-auto-read-includes nil
 verilog-auto-reset-blocking-in-non t
 verilog-auto-reset-widths t
 verilog-auto-save-policy nil
 verilog-auto-sense-defines-constant nil
 verilog-auto-sense-include-inputs nil
 verilog-auto-star-expand t
 verilog-auto-star-save nil
 verilog-auto-template-warn-unused nil
 verilog-auto-tieoff-declaration "wire"
 verilog-auto-tieoff-ignore-regexp nil
 verilog-auto-unused-ignore-regexp nil
 verilog-auto-wire-type nil
 verilog-before-auto-hook nil
 verilog-before-delete-auto-hook nil
 verilog-before-getopt-flags-hook nil
 verilog-before-save-font-hook nil
 verilog-cache-enabled t
 verilog-case-fold t
 verilog-case-indent 4
 verilog-cexp-indent 4
 verilog-compiler "echo 'No verilog-compiler set, see \"M-x describe-variable verilog-compiler\"'"
 verilog-coverage "echo 'No verilog-coverage set, see \"M-x describe-variable verilog-coverage\"'"
 verilog-delete-auto-hook nil
 verilog-getopt-flags-hook nil
 verilog-highlight-grouping-keywords nil
 verilog-highlight-includes t
 verilog-highlight-modules nil
 verilog-highlight-translate-off nil
 verilog-indent-begin-after-if t
 verilog-indent-declaration-macros nil
 verilog-indent-level 4
 verilog-indent-level-behavioral 4
 verilog-indent-level-declaration 4
 verilog-indent-level-directive 4
 verilog-indent-level-module 4
 verilog-indent-lists nil
 verilog-library-directories '(".")
 verilog-library-extensions '(".v" ".va" ".sv")
 verilog-library-files nil
 verilog-library-flags '("")
 verilog-linter "echo 'No verilog-linter set, see \"M-x describe-variable verilog-linter\"'"
 verilog-minimum-comment-distance 10
 verilog-mode-hook 'verilog-set-compile-command
 verilog-mode-release-emacs t
 verilog-mode-version "2019-12-17-ffa2ba5-vpo-GNU"
 verilog-preprocessor "verilator -E __FLAGS__ __FILE__"
 verilog-simulator "echo 'No verilog-simulator set, see \"M-x describe-variable verilog-simulator\"'"
 verilog-tab-always-indent t
 verilog-tab-to-comment nil
 verilog-typedef-regexp nil
 verilog-warn-fatal nil
 )

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2019-12-21T15:01:32Z


Thanks for the report. Fixed in git in 2019-12-21-0c22edc-vpo

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Aaron Griffith (@agrif)
Original Date: 2019-12-22T03:18:07Z


Thank you for the quick fix!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

2 participants