Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

git build fails due to missing config_rev.h #17

Closed
veripoolbot opened this issue Jul 4, 2008 · 4 comments
Closed

git build fails due to missing config_rev.h #17

veripoolbot opened this issue Jul 4, 2008 · 4 comments
Assignees
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Holger Wächtler
Original Redmine Issue: 17 from https://www.veripool.org
Original Date: 2008-07-04
Original Assignee: Wilson Snyder (@wsnyder)


Hi,
since config_rev.h is generated from the SVN versioning number by config_rev.pl, this approach fails when using the git tree: the .svn directories are missing. I'm not sure about the correct fix, should a special git tag or number be used?
best regards,

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-07-14T13:36:11Z


This was ok in the HEAD, but as you also noted in a separate mail, the git HEAD wasn't properly visible outside. I fixed the permissions on the repository, and a "git fetch" will now properly get this change along with the others.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Holger Wächtler
Original Date: 2008-07-14T14:35:01Z


now your latest commits after verilator_3_665 are visible in "git log" and the source tree, but still the same problem:

g++ -I/Users/holger/verilog-stuff/3rdparty/include -MMD -I. -I.. -I../../include -DYYDEBUG       -ggdb -DVL_DEBUG -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMPERL=\"\" -DDEFENV_VERILATOR_ROOT=\"/Users/holger/verilog-stuff/3rdparty/share/verilator\" -c ../V3Options.cpp
../V3Options.cpp:41:24: error: config_rev.h: No such file or directory
../V3Options.cpp: In static member function `static std::string V3Options::version()':
../V3Options.cpp:411: error: 'DTVERSION_rev' was not declared in this scope
make[2]: *** [V3Options.o] Error 1
make[1]: *** [../verilator_bin_dbg] Error 2
make: *** [verilator_exe] Error 2

Providing the file from an old revision allows building the source, but is probably not the intended solution...

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-07-14T14:50:04Z


Update and this should be fixed. I had assumed VERILATOR_AUTHOR_SITE would be in the enviornment, which isn't something I should require.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Holger Wächtler
Original Date: 2008-07-14T15:52:30Z


ok, works now...

@veripoolbot veripoolbot added area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: configure/compiling Issue involves configuring or compilating Verilator itself resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants