Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support multi-dimensional arrays as inputs/outputs #171

Closed
veripoolbot opened this issue Nov 3, 2009 · 5 comments
Closed

Support multi-dimensional arrays as inputs/outputs #171

veripoolbot opened this issue Nov 3, 2009 · 5 comments
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Byron Bradley (@bbradley)
Original Redmine Issue: 171 from https://www.veripool.org
Original Date: 2009-11-03
Original Assignee: Byron Bradley (@bbradley)


Allow modules to have multi-dimensional arrays as inputs/outputs

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-11-03T11:18:58Z


If you'd like to get started on this I'll give pointers. It should wait until I get type support in though as that change will hit this code too, unless you're in a rush.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Byron Bradley (@bbradley)
Original Date: 2010-01-19T14:29:04Z


Patch to support this attached. This depends on the patch in issue #170 and will only work for C++ output.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Byron Bradley (@bbradley)
Original Date: 2010-01-19T14:31:40Z


Attaching a new patch, the last one missed a change to test_regress/t/t_mem_multi_io_bad.pl

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2010-01-19T18:21:05Z


Thanks for the fix!

Committed to git. Only real change was to add --trace to the test to insure it wasn't going to mess that up.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2010-02-07T12:37:51Z


In 3.800.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant