Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

make $dumpfile(), $dumpvars() no-op when --trace is disabled #18

Closed
veripoolbot opened this issue Jul 5, 2008 · 1 comment
Closed
Labels
resolution: wontfix Closed; work won't continue on an issue or pull request

Comments

@veripoolbot
Copy link
Contributor


Author Name: Holger Wächtler
Original Redmine Issue: 18 from https://www.veripool.org
Original Date: 2008-07-05


or, alternatively, use occurences of $dumpfile(), $dumpvars() to let verilator decide whether to generate the trace code or not? Right now the user has to touch two switches (the command line and the source) to enable tracing.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2008-07-14T13:45:43Z


Er, $dumpfile etc isn't ever supported and I think making them error only when tracing is on will just confuse people; enabling waves to debug something shouldn't generate new errors.

Unfortunately, using $dumpfile etc to control tracing won't work with SystemC, nor when there is more than one Verilated model in the design, so I don't want to deal with it otherwise.

@veripoolbot veripoolbot added the resolution: wontfix Closed; work won't continue on an issue or pull request label Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: wontfix Closed; work won't continue on an issue or pull request
Projects
None yet
Development

No branches or pull requests

1 participant