Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SV unrecognized covergroup #192

Closed
veripoolbot opened this issue Nov 24, 2009 · 2 comments
Closed

SV unrecognized covergroup #192

veripoolbot opened this issue Nov 24, 2009 · 2 comments
Assignees

Comments

@veripoolbot
Copy link
Collaborator


Author Name: vesselin kavalov (@vessko)
Original Redmine Issue: 192 from https://www.veripool.org
Original Date: 2009-11-24
Original Assignee: Wilson Snyder (@wsnyder)


233 integer countones_tag_busy;
234 
235 always @* begin: sysv_cover_blk
236     countones_tag_busy = $countones(tag_busy);
237 end
238 
239 //
240 // Covergroup
241 // 
242 covergroup cover_tag_select @(posedge cclk);
243     count_tag_busy: coverpoint countones_tag_busy {
244                        bins count[] = {[0:DEPTH]};
245                     }
246 endgroup: cover_tag_select
247 
248 cover_tag_select cover_ts = new();

%Error: some_file.v:248: syntax error, unexpected '=', expecting '('

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-11-24T19:21:22Z


Fixed in git for 3.223ish.

The patch is simple, as it's an off-by-one bug:

diff --git a/Parser/VParseBison.y b/Parser/VParseBison.y
index 812f800..c59c0bd 100644
--- a/Parser/VParseBison.y
+++ b/Parser/VParseBison.y
@@ -3368,7 +3368,7 @@ covergroup_declaration:           // ==IEEE: covergroup_declaration
         ;

 covergroup_declarationFront:   // IEEE: part of covergroup_declaration
-               yCOVERGROUP idAny                       { PARSEP->symPushNew(VAstType::COVERGROUP,$1); }
+               yCOVERGROUP idAny                       { PARSEP->symPushNew(VAstType::COVERGROUP,$2); }
         ;

 coverage_spec_or_optionListE:  // IEEE: [{coverage_spec_or_option}]

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2009-12-21T03:39:35Z


In 3.223

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants