Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support assignment between packed arrays with different dimensions #207

Closed
veripoolbot opened this issue Jan 19, 2010 · 1 comment
Closed
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800

Comments

@veripoolbot
Copy link
Contributor


Author Name: Byron Bradley (@bbradley)
Original Redmine Issue: 207 from https://www.veripool.org
Original Date: 2010-01-19
Original Assignee: Byron Bradley (@bbradley)


Verilator doesn't support:

  logic [1023:0] data_conc;
  logic [31:0][31:0] data;
  assign data = data_conc;

Slice support in issue #170 comes very close to this. Remove the checks to detect it as an error and it just needs to figure out that it is packed and to set the bits in the Sel node on data_conc appropriately for each element in data. This probably needs a very strict check that the number of packed bits is equal on both sides.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2014-04-02T03:22:05Z


Somewhere along the changes for packed array support this was fixed.

@veripoolbot veripoolbot added resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800 labels Dec 22, 2019
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed type: feature-IEEE Request to add new feature, described in IEEE 1800
Projects
None yet
Development

No branches or pull requests

1 participant