Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

The order of packed dimensions is backwards #213

Closed
veripoolbot opened this issue Jan 26, 2010 · 1 comment
Closed

The order of packed dimensions is backwards #213

veripoolbot opened this issue Jan 26, 2010 · 1 comment
Assignees
Labels
resolution: fixed Closed; fixed

Comments

@veripoolbot
Copy link
Contributor


Author Name: Byron Bradley (@bbradley)
Original Redmine Issue: 213 from https://www.veripool.org
Original Date: 2010-01-26
Original Assignee: Wilson Snyder (@wsnyder)


A signal defined as

logic [2:0] [31:0]  data_in;

should contain three elements of 32-bits each. In Verilator it creates 32 elements of 3-bits each. The patch attached shows this in t/t_mem_packed and has been tested in another simulator.

@veripoolbot
Copy link
Contributor Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2010-01-26T13:08:16Z


Wow, sorry the original test wasn't covering it well.

Fixed in git, thanks for the tests as always.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
resolution: fixed Closed; fixed
Projects
None yet
Development

No branches or pull requests

2 participants