Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Indentation wrong after import "DPI-C" #290

Closed
veripoolbot opened this issue Sep 30, 2010 · 3 comments
Closed

Indentation wrong after import "DPI-C" #290

veripoolbot opened this issue Sep 30, 2010 · 3 comments
Labels

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Pierre-David Pfister
Original Redmine Issue: 290 from https://www.veripool.org
Original Date: 2010-09-30
Original Assignee: Michael McNamara


Hi,
The Verilog-mode adds an extra indentation after import of DPI-C function:

module toto (input logic dummy);
  import "DPI-C" pure function real fabs (input real a);
     logic a; // wrong indentation
     endmodule // wrong indentation

Thanks,
Pierre

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-11-29T15:41:45Z


Still broken in rev731. tests/indent_dpi.v now shows this problem; changing verilog-extended-complete-re didn't fix it. Mac can you take a look?

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Michael McNamara
Original Date: 2011-12-15T18:47:00Z


Fixed in release 736 (released 12/10/11)

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2012-02-06T23:17:57Z


Closed a while ago.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

No branches or pull requests

1 participant