Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Port callback incorrectly setting up direction for ports of user defined types #316

Closed
veripoolbot opened this issue Jan 11, 2011 · 2 comments
Assignees

Comments

@veripoolbot
Copy link
Collaborator


Author Name: Divyanand Kutagulla
Original Redmine Issue: 316 from https://www.veripool.org
Original Date: 2011-01-11
Original Assignee: Wilson Snyder (@wsnyder)


Verilog_parser uses the port callback to process verilog port declarations inside module scope:
input supply_net_type vddmp;

But inside the port callback the direction attribute is incorrectly set to interface when it should be set to input

Port declarations:
input logic vddmp;
are handled correctly; The port callback correctly sets the direction to input.

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-01-11T21:52:43Z


For

module t;
typedef int supply_net_t;
input int i;
input supply_net_t #�;
endmodule

I get the following for the callback

verilog/parser_sv.v:352: MODULE 'module' 't' undef '0'
verilog/parser_sv.v:353: VAR 'typedef' 'supply_net_t' 'module' '' 'int' '' ''
verilog/parser_sv.v:354: VAR 'port' 'i' 'module' '' 'int' '' ''
verilog/parser_sv.v:354: PORT 'i' 'module' 'input' 'int' '' '0'
verilog/parser_sv.v:356: VAR 'port' '#�' 'module' '' 'supply_net_t' '' ''
verilog/parser_sv.v:356: PORT '#�' 'module' 'input' 'supply_net_t' '' '0'
verilog/parser_sv.v:357: ENDMODULE 'endmodule'

which looks correct; can you see the issue above, or send an example?

@veripoolbot
Copy link
Collaborator Author


Original Redmine Comment
Author Name: Wilson Snyder (@wsnyder)
Original Date: 2011-01-12T00:01:00Z


User's issue

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants